Commit 694938d0b5fe815a2ab4e21fe9bd2f956c28d149

Authored by Steve Greedy
1 parent 2e55c776

Update spacewire.cpp

Showing 1 changed file with 2 additions and 0 deletions   Show diff stats
GUI/SW1/SRC/SPACEWIRE.cpp
... ... @@ -520,6 +520,8 @@ void SPACEWIRE::OnButton2Click(wxCommandEvent& event)
520 520 << ".." + separator + MODname + separator + "CABLE" + separator
521 521 << fileName ;
522 522  
  523 + command_line << stream.str().c_str();
  524 +
523 525 wxExecute (command_line, wxEXEC_SYNC);
524 526  
525 527 // Display Run Status
... ...